LAPSE:2023.33516
Published Article
LAPSE:2023.33516
Power and Performance Evaluation of Memory-Intensive Applications
Kaiqiang Zhang, Dongyang Ou, Congfeng Jiang, Yeliang Qiu, Longchuan Yan
April 21, 2023
In terms of power and energy consumption, DRAMs play a key role in a modern server system as well as processors. Although power-aware scheduling is based on the proportion of energy between DRAM and other components, when running memory-intensive applications, the energy consumption of the whole server system will be significantly affected by the non-energy proportion of DRAM. Furthermore, modern servers usually use NUMA architecture to replace the original SMP architecture to increase its memory bandwidth. It is of great significance to study the energy efficiency of these two different memory architectures. Therefore, in order to explore the power consumption characteristics of servers under memory-intensive workload, this paper evaluates the power consumption and performance of memory-intensive applications in different generations of real rack servers. Through analysis, we find that: (1) Workload intensity and concurrent execution threads affects server power consumption, but a fully utilized memory system may not necessarily bring good energy efficiency indicators. (2) Even if the memory system is not fully utilized, the memory capacity of each processor core has a significant impact on application performance and server power consumption. (3) When running memory-intensive applications, memory utilization is not always a good indicator of server power consumption. (4) The reasonable use of the NUMA architecture will improve the memory energy efficiency significantly. The experimental results show that reasonable use of NUMA architecture can improve memory efficiency by 16% compared with SMP architecture, while unreasonable use of NUMA architecture reduces memory efficiency by 13%. The findings we present in this paper provide useful insights and guidance for system designers and data center operators to help them in energy-efficiency-aware job scheduling and energy conservation.
Keywords
Energy Efficiency, energy proportionality, memory system, memory-intensive computing
Suggested Citation
Zhang K, Ou D, Jiang C, Qiu Y, Yan L. Power and Performance Evaluation of Memory-Intensive Applications. (2023). LAPSE:2023.33516
Author Affiliations
Zhang K: School of Computer Science and Technology, Hangzhou Dianzi University, Hangzhou 310018, China [ORCID]
Ou D: School of Computer Science and Technology, Hangzhou Dianzi University, Hangzhou 310018, China
Jiang C: School of Computer Science and Technology, Hangzhou Dianzi University, Hangzhou 310018, China [ORCID]
Qiu Y: School of Computer Science and Technology, Hangzhou Dianzi University, Hangzhou 310018, China
Yan L: Information and Communication Corporation, State Grid Corporation of China, Ltd., Beijing 100053, China
Journal Name
Energies
Volume
14
Issue
14
First Page
4089
Year
2021
Publication Date
2021-07-06
Published Version
ISSN
1996-1073
Version Comments
Original Submission
Other Meta
PII: en14144089, Publication Type: Journal Article
Record Map
Published Article

LAPSE:2023.33516
This Record
External Link

doi:10.3390/en14144089
Publisher Version
Download
Files
[Download 1v1.pdf] (4.1 MB)
Apr 21, 2023
Main Article
License
CC BY 4.0
Meta
Record Statistics
Record Views
67
Version History
[v1] (Original Submission)
Apr 21, 2023
 
Verified by curator on
Apr 21, 2023
This Version Number
v1
Citations
Most Recent
This Version
URL Here
https://psecommunity.org/LAPSE:2023.33516
 
Original Submitter
Auto Uploader for LAPSE
Links to Related Works
Directly Related to This Work
Publisher Version